Please use this identifier to cite or link to this item: http://ricaxcan.uaz.edu.mx/jspui/handle/20.500.11845/2719
Full metadata record
DC FieldValueLanguage
dc.contributor714536es_ES
dc.coverage.spatialGlobales_ES
dc.creatorGómez Rodríguez, José Ricardo-
dc.creatorSandoval Arechiga, Remberto-
dc.creatorIbarra Delgado, Salvador-
dc.creatorRodríguez Abdalá, Viktor Iván-
dc.creatorVázquez Avila, José Luis-
dc.creatorParra Michel, Ramon-
dc.date.accessioned2021-07-19T19:12:27Z-
dc.date.available2021-07-19T19:12:27Z-
dc.date.issued2021-02-12-
dc.identifierinfo:eu-repo/semantics/publishedVersiones_ES
dc.identifier.urihttp://ricaxcan.uaz.edu.mx/jspui/handle/20.500.11845/2719-
dc.descriptionCurrent computing platforms encourage the integration of thousands of processing cores, and their interconnections, into a single chip. Mobile smartphones, IoT, embedded devices, desktops, and data centers use Many-Core Systems-on-Chip (SoCs) to exploit their compute power and parallelism to meet the dynamic workload requirements. Networks-on-Chip (NoCs) lead to scalable connectivity for diverse applications with distinct traffic patterns and data dependencies. However, when the system executes various applications in traditional NoCs—optimized and fixed at synthesis time—the interconnection nonconformity with the different applications’ requirements generates limitations in the performance. In the literature, NoC designs embraced the Software-Defined Networking (SDN) strategy to evolve into an adaptable interconnection solution for future chips. However, the works surveyed implement a partial Software-Defined Network-on-Chip (SDNoC) approach, leaving aside the SDN layered architecture that brings interoperability in conventional networking. This paper explores the SDNoC literature and classifies it regarding the desired SDN features that each work presents. Then, we described the challenges and opportunities detected from the literature survey. Moreover, we explain the motivation for an SDNoC approach, and we expose both SDN and SDNoC concepts and architectures. We observe that works in the literature employed an uncomplete layered SDNoC approach. This fact creates various fertile areas in the SDNoC architecture where researchers may contribute to Many-Core SoCs designs.es_ES
dc.description.abstractLas plataformas informáticas actuales fomentan la integración de miles de núcleos de procesamiento y sus interconexiones, en un solo chip. Los smartphones móviles, el IoT, los dispositivos embebidos, los ordenadores de sobremesa y los centros de datos utilizan sistemas en chip (SoC) de muchos núcleos para explotar su potencia de cálculo y paralelismo para satisfacer los requisitos de las cargas de trabajo dinámicas. Las redes en chip (NoC) conducen a una conectividad escalable para diversas aplicaciones con distintos patrones de tráfico y dependencias de datos. Sin embargo, cuando el sistema ejecuta varias aplicaciones en las NoC tradicionales -optimizadas y fijadas en el momento de síntesis, la disconformidad de la interconexión con los requisitos de las distintas aplicaciones genera limitaciones en el rendimiento. En la literatura, los diseños de NoC adoptaron la estrategia de redes definidas por software (SDN) para evolucionar hacia una solución de interconexión adaptable para los futuros chips. Sin embargo, los trabajos estudiados implementan un enfoque parcial de red definida por software en el chip (SDNoC) de SDN, dejando de lado la arquitectura en capas de SDN que aporta interoperabilidad en la red convencional. Este artículo explora la literatura sobre SDNoC y la clasifica en función de las características SDN que presenta cada trabajo. A continuación, describimos los retos y oportunidades detectados a partir del estudio de la literatura. Además, explicamos la motivación para un enfoque SDNoC, y exponemos los conceptos y arquitecturas de SDN y SDNoC. Observamos que los trabajos en la literatura emplean un enfoque SDNoC por capas no completo. Este hecho crea varias áreas fértiles en la arquitectura SDNoC en las que los investigadores pueden contribuir a los diseños de SoCs de muchos núcleos.es_ES
dc.language.isoenges_ES
dc.publisherMDPIes_ES
dc.relationhttps://www.mdpi.com/2072-666X/12/2/183es_ES
dc.relation.ispartofhttps://doi.org/10.3390/ mi12020183es_ES
dc.relation.urigeneralPublices_ES
dc.rightsCC0 1.0 Universal*
dc.rights.urihttp://creativecommons.org/publicdomain/zero/1.0/*
dc.sourceMicromachines 2021, 12, 183.es_ES
dc.subject.classificationINGENIERIA Y TECNOLOGIA [7]es_ES
dc.subject.otherNetworks-on-Chipes_ES
dc.subject.otherchallengeses_ES
dc.subject.otheropportunitieses_ES
dc.subject.otherSoftware-Defined Networks-on-Chipes_ES
dc.subject.othersurveyes_ES
dc.titleA Survey of Software-Defined Networks-on-Chip: Motivations, Challenges and Opportunitieses_ES
dc.typeinfo:eu-repo/semantics/articlees_ES
Appears in Collections:*Documentos Académicos*-- Doc. en Ing. para la Innovación Tec.

Files in This Item:
File Description SizeFormat 
micromachines-12-00183.pdfArticulo en revista micromacines https://doi.org/10.3390/mi120201832,8 MBAdobe PDFView/Open


This item is licensed under a Creative Commons License Creative Commons