Repositorio Dspace

A Survey of Software-Defined Networks-on-Chip: Motivations, Challenges and Opportunities

Mostrar el registro sencillo del ítem

dc.contributor 714536 es_ES
dc.coverage.spatial Global es_ES
dc.creator Gómez Rodríguez, José Ricardo
dc.creator Sandoval Arechiga, Remberto
dc.creator Ibarra Delgado, Salvador
dc.creator Rodríguez Abdalá, Viktor Iván
dc.creator Vázquez Avila, José Luis
dc.creator Parra Michel, Ramon
dc.date.accessioned 2021-07-19T19:12:27Z
dc.date.available 2021-07-19T19:12:27Z
dc.date.issued 2021-02-12
dc.identifier info:eu-repo/semantics/publishedVersion es_ES
dc.identifier.uri http://ricaxcan.uaz.edu.mx/jspui/handle/20.500.11845/2719
dc.description Current computing platforms encourage the integration of thousands of processing cores, and their interconnections, into a single chip. Mobile smartphones, IoT, embedded devices, desktops, and data centers use Many-Core Systems-on-Chip (SoCs) to exploit their compute power and parallelism to meet the dynamic workload requirements. Networks-on-Chip (NoCs) lead to scalable connectivity for diverse applications with distinct traffic patterns and data dependencies. However, when the system executes various applications in traditional NoCs—optimized and fixed at synthesis time—the interconnection nonconformity with the different applications’ requirements generates limitations in the performance. In the literature, NoC designs embraced the Software-Defined Networking (SDN) strategy to evolve into an adaptable interconnection solution for future chips. However, the works surveyed implement a partial Software-Defined Network-on-Chip (SDNoC) approach, leaving aside the SDN layered architecture that brings interoperability in conventional networking. This paper explores the SDNoC literature and classifies it regarding the desired SDN features that each work presents. Then, we described the challenges and opportunities detected from the literature survey. Moreover, we explain the motivation for an SDNoC approach, and we expose both SDN and SDNoC concepts and architectures. We observe that works in the literature employed an uncomplete layered SDNoC approach. This fact creates various fertile areas in the SDNoC architecture where researchers may contribute to Many-Core SoCs designs. es_ES
dc.description.abstract Las plataformas informáticas actuales fomentan la integración de miles de núcleos de procesamiento y sus interconexiones, en un solo chip. Los smartphones móviles, el IoT, los dispositivos embebidos, los ordenadores de sobremesa y los centros de datos utilizan sistemas en chip (SoC) de muchos núcleos para explotar su potencia de cálculo y paralelismo para satisfacer los requisitos de las cargas de trabajo dinámicas. Las redes en chip (NoC) conducen a una conectividad escalable para diversas aplicaciones con distintos patrones de tráfico y dependencias de datos. Sin embargo, cuando el sistema ejecuta varias aplicaciones en las NoC tradicionales -optimizadas y fijadas en el momento de síntesis, la disconformidad de la interconexión con los requisitos de las distintas aplicaciones genera limitaciones en el rendimiento. En la literatura, los diseños de NoC adoptaron la estrategia de redes definidas por software (SDN) para evolucionar hacia una solución de interconexión adaptable para los futuros chips. Sin embargo, los trabajos estudiados implementan un enfoque parcial de red definida por software en el chip (SDNoC) de SDN, dejando de lado la arquitectura en capas de SDN que aporta interoperabilidad en la red convencional. Este artículo explora la literatura sobre SDNoC y la clasifica en función de las características SDN que presenta cada trabajo. A continuación, describimos los retos y oportunidades detectados a partir del estudio de la literatura. Además, explicamos la motivación para un enfoque SDNoC, y exponemos los conceptos y arquitecturas de SDN y SDNoC. Observamos que los trabajos en la literatura emplean un enfoque SDNoC por capas no completo. Este hecho crea varias áreas fértiles en la arquitectura SDNoC en las que los investigadores pueden contribuir a los diseños de SoCs de muchos núcleos. es_ES
dc.language.iso eng es_ES
dc.publisher MDPI es_ES
dc.relation https://www.mdpi.com/2072-666X/12/2/183 es_ES
dc.relation.ispartof https://doi.org/10.3390/ mi12020183 es_ES
dc.relation.uri generalPublic es_ES
dc.rights CC0 1.0 Universal *
dc.rights.uri http://creativecommons.org/publicdomain/zero/1.0/ *
dc.source Micromachines 2021, 12, 183. es_ES
dc.subject.classification INGENIERIA Y TECNOLOGIA [7] es_ES
dc.subject.other Networks-on-Chip es_ES
dc.subject.other challenges es_ES
dc.subject.other opportunities es_ES
dc.subject.other Software-Defined Networks-on-Chip es_ES
dc.subject.other survey es_ES
dc.title A Survey of Software-Defined Networks-on-Chip: Motivations, Challenges and Opportunities es_ES
dc.type info:eu-repo/semantics/article es_ES


Ficheros en el ítem

El ítem tiene asociados los siguientes ficheros de licencia:

Este ítem aparece en la(s) siguiente(s) colección(ones)

Mostrar el registro sencillo del ítem

CC0 1.0 Universal Excepto si se señala otra cosa, la licencia del ítem se describe como CC0 1.0 Universal

Buscar en DSpace


Búsqueda avanzada

Listar

Mi cuenta

Estadísticas